CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - analog to digital converter

搜索资源列表

  1. tlc549andC51

    0下载:
  2. A/D转换TLC549与51单片机,模数转换的程序-A/D converter TLC549 and 51 MCU, analog to digital conversion process
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-01
    • 文件大小:4233
    • 提供者:李思青
  1. ADC

    0下载:
  2. 基于单片机c8051f020的模数转换,数模转换应用实例-C8051f020 based on single-chip analog-to-digital conversion, digital-to-analog converter application
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:12536
    • 提供者:张慧慧
  1. ADyuDA

    0下载:
  2. 基于AVR的模数转换程序。是开发单片机必备的基本技巧。 本程序出自高手之手,通俗易懂。 基于AVR的数模转换程序。利用AVR单片机自身的AD功能进行转换。 本程序出自高手之手,通俗易懂。 -AVR-based analog-to-digital conversion process. Singlechip are necessary for the development of basic skills. This procedure comes from the hand
  3. 所属分类:SCM

    • 发布日期:2017-04-29
    • 文件大小:29541
    • 提供者:阿良
  1. fpgaduiadckongzhi

    0下载:
  2. 在单片机与外部环境通信的时候,就需要有一种转换器来把模拟信号变为数字信号,以便能够输送给单片机进行处理。而单片机送出的控制信号,也必须经过变换器变成模拟信号,才能为控制电路所接受。这种变换器就称为数模(D/A)转换器和模数(A/D)转换器。-In single-chip communication with the external environment when the need for a converter to the analog signal into digital signal
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-23
    • 文件大小:171562
    • 提供者:岳雨晴
  1. ltc1855

    0下载:
  2. LTC1855模数A/D转换驱动软件源代码,经过了测试,非常稳定可靠.-LTC1855 analog-to-digital A/D converter driver software source code, after the test, very stable and reliable.
  3. 所属分类:MiddleWare

    • 发布日期:2017-04-13
    • 文件大小:1714
    • 提供者:liuzx
  1. analogue-digi-ana-converter

    0下载:
  2. design and implementation of a format conversion system on the Altera NIOS board(QUARTUS) which reads an analogue input, converts it into digital data, and then does the reverse conversion back into analogue format. This will be done by taking an ana
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1398520
    • 提供者:ak
  1. FPGA_Based_Multi-channels_Serial_ADC_controller.ra

    0下载:
  2. 采用FPGA控制ADS7844进行模数转换。ADS7844 是Burr_Brown公司推出的一种高性能、宽电压、低功耗的12 b串行数模转换器。它有8个模拟输入端,可用软件编程为8通道单端输入A/D转换器或4通道差分输入A/D转换器,其转换率高达200 kHz,而线性误差和差分误差最大仅为±1 LSB。-Using FPGA control ADS7844 analog to digital conversion. ADS7844 is a Burr_Brown the company intr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:161251
    • 提供者:Liu Bin
  1. TEXT1540

    0下载:
  2. 基于c51单片机控制tlc1540的A/D转换实现模拟量向数字量转换-C51-based MCU tlc1540 the A/D converter to achieve analog to digital conversion
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:730
    • 提供者:
  1. ADandDMA

    0下载:
  2. STM32F103 Arm 单片机的 A/D转换器 实现数据从模拟到数字的转换-STM32F103 Arm microcontroller A/D converter for data conversion from analog to digital
  3. 所属分类:SCM

    • 发布日期:2017-05-01
    • 文件大小:588836
    • 提供者:wangxin
  1. voit

    0下载:
  2. 本例利用ADC0809 的A/D 转换原理,将被测的多路模拟量转换成数字量,并 用数字方式显示测量结果。本系统以单片机AT89C52 为系统的控制核心,结合A/D 转换 芯片ADC0809 设计一个多路电压采集系统,其中A/D 转换结果经过AT89C52 处理,最后 通过数码管显示相应的数值。本系统通过一个按键可以选择8 路0-5V 之间的直流电压 值进行测量,并在四位数码管上显示相应的数值。读数据准确,测量方便。-In this case the use of ADC0809
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:29742
    • 提供者:吴锴
  1. 8051danpianji

    0下载:
  2. 本书精选了8051单片机的汇编语言以及开发过程中应注意到的问题。通过在每一个8051功能模块介绍中辅以应用实例,介绍了单片机基本模块的应用、串行通信的应用、音乐的应用、显示器和键盘控制、电子号码锁的应用、A/D的模数转换的应用、点阵显示器的应用、外围接口IC的应用、步进马达的控制电路、8279年应用、实时时钟芯片的应用,打印机的应用、A/D转换器ICL7135应用、绘图型LCD的应用。本书中每一个实例都有完整的程序、详细的注解和完整的电路图。-8051 book selection of the
  3. 所属分类:SCM

    • 发布日期:2017-05-24
    • 文件大小:7724637
    • 提供者:李建东
  1. Ch-3-D-to-A-converter--i

    0下载:
  2. digital to analog converter
  3. 所属分类:DSP program

    • 发布日期:2017-03-30
    • 文件大小:98818
    • 提供者:aala
  1. AD

    1下载:
  2. 51单片机开发,AD转换模块 ,模数转换,高精度-51 MCU development, AD converter module, analog-to-digital conversion, high-precision
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-11-22
    • 文件大小:798719
    • 提供者:WZX
  1. DSP281x_ADC04U

    0下载:
  2. 这是dsp的模数转化的一个c语言设计,能够实现A/D转换-Dsp analog-to-digital conversion c language design, can achieve the A/D converter
  3. 所属分类:DSP program

    • 发布日期:2017-11-26
    • 文件大小:1265
    • 提供者:崔久强
  1. ADC0808

    0下载:
  2. ADC0808模数转换程序,这是一个头文件,使用十分方便,先调用一次里面的初始化函数,之后只需调用一个函数便可获得AD转换的值。文件中有详细的说明。-ADC0808 analog-to-digital conversion process, which is a header file, very easy to use, once inside the initialization function is called first, then simply call a function th
  3. 所属分类:SCM

    • 发布日期:2017-11-27
    • 文件大小:1023
    • 提供者:平雅畅
  1. ADC

    1下载:
  2. 实现了ADC的转换,模/数转换器或者模拟/数字转换器。模数转换一般要经过采样、保持和量化、编码这几个步骤。将连续变量的模拟信号转换为离散的数字信号的器件。-ADC conversion, analog/digital converter or an analog/digital converter. Analog-to-digital conversion is generally sampled, maintain and quantify the coding of these steps.
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-12-04
    • 文件大小:2411049
    • 提供者:张军
  1. ads5500.pdf

    0下载:
  2. The ADS5500 is a high-performance, 14-bit, 125 Msps analog-to-digital converter (ADC). To provide a complete converter solution, it includes a high-bandwidth linear sample-and-hold stage (S&H) and internal reference. Designed for applications dem
  3. 所属分类:其他

    • 发布日期:2018-05-06
    • 文件大小:1739776
    • 提供者:kadomcevvn86
  1. ad6645.pdf

    0下载:
  2. The AD6645 is a high speed, high performance, monolithic 14-bit analog-to-digital converter (ADC). All necessary functions, including track-and-hold (T/H) and reference, are included on the chip to provide a complete conversion solution. The AD6645 p
  3. 所属分类:其他

    • 发布日期:2018-05-06
    • 文件大小:969728
    • 提供者:kadomcevvn86
  1. 9268

    1下载:
  2. 国产9268 配置FPGA 码 ,AD9268是一款双通道、16位、125 MSPS模数转换器(ADC),设计用来支持需要高性能、低成本、小尺寸且具多功能性的通信应用。(adi ad9268 16-Bit, 125 MSPS/105 MSPS/80 MSPS, 1.8 V Dual Analog-to-Digital Converter)
  3. 所属分类:中间件编程

    • 发布日期:2019-09-18
    • 文件大小:1024
    • 提供者:panshuo666
  1. [CN0301].通用LVDT信号调理电路_cn

    1下载:
  2. 本电路采用AD698 LVDT信号调理器,包含一个正弦波振荡器和一个功率放大器,用于产生驱动原边LVDT的激励信号。AD698还可将副边输出转换为直流电压。AD8615轨到轨放大器缓冲AD698的输出,并驱动低功耗12位逐次逼近型模数转换器(ADC)。系统动态范围为82 dB,带宽为250 Hz,非常适合精密工业位置和计量应用。(This circuit uses the AD698 LVDT signal conditioner, which includes a sine wave osci
  3. 所属分类:硬件设计

    • 发布日期:2019-11-03
    • 文件大小:462848
    • 提供者:horseplay
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 21 »
搜珍网 www.dssz.com